Intel, tutte le innovazioni che apriranno le porte dell’IA agli sviluppatori

In occasione della terza edizione di Intel Innovation, Intel ha presentato una serie di tecnologie per portare l’intelligenza artificiale ovunque e renderla più accessibile in tutti i carichi di lavoro, dal client all’edge fino alla rete e al cloud “L’intelligenza artificiale rappresenta uno spartiacque generazionale, dando origine a una nuova era di sviluppo globale in cui l’informatica è sempre più importante nel creare un futuro migliore per tutti”, ha affermato Pat Gelsinger, CEO di Intel. “Per gli sviluppatori, questo crea importantissime opportunità in termini di business e di impatto sociale per ampliare i confini di ciò che è possibile, per trovare soluzioni alle più grandi sfide globali e per migliorare la vita di ogni persona sul pianeta”. Nel keynote rivolto agli sviluppatori all’apertura dell’evento, Gelsinger ha mostrato come Intel stia portando le funzionalità di intelligenza artificiale nei suoi prodotti hardware e rendendoli accessibili attraverso soluzioni software aperte e multi-architettura. Ha anche sottolineato come l’intelligenza artificiale stia contribuendo a promuovere la “siliconomy”, “una fase di sviluppo economico resa possibile dalla magia del silicio e del software”. Oggi, il silicio alimenta un’industria da 574 miliardi di dollari che a sua volta alimenta un’economia tecnologica globale che vale quasi 8.000 miliardi di dollari.

Il lavoro inizia innovando la produzione dei semiconduttori. Il programma di Intel cinque nodi in quattro anni per lo sviluppo delle tecnologie di processo sta procedendo puntuale, ha affermato Gelsinger, con Intel 7 già in produzione in grandi volumi, Intel 4 pronto alla produzione e Intel 3 previsto per la fine di quest’anno. Gelsinger ha anche mostrato un wafer Intel 20A con i primi chip di test per il processore Arrow Lake di Intel, destinato al mercato del client computing nel 2024. Intel 20A sarà il primo nodo di processo a includere PowerVia, la tecnologia di Intel per l’erogazione di potenza dalla parte posteriore del chip, e il nuovo design di transistor gate-all-around chiamato RibbonFET. Intel 18A, che sfrutta anche PowerVia e RibbonFET, sta rispettando la tabella di marcia per arrivare alla produzione nella seconda metà del 2024. Un altro modo con cui Intel porta avanti la Legge di Moore sono nuovi materiali e nuove tecnologie di packaging, come i substrati di vetro: una svolta annunciata da Intel questa settimana. Quando saranno introdotti alla fine di questo decennio, i substrati di vetro consentiranno il continuo ridimensionamento dei transistor su un pacchetto per contribuire a soddisfare la necessità di carichi di lavoro ad alta intensità di dati e ad alte prestazioni come l’intelligenza artificiale, e consentiranno alla legge di Moore di rimanere valida ben oltre il 2030.

Intel ha anche mostrato un pacchetto di chip di prova realizzato con Universal Chiplet Interconnect Express (UCIe). La prossima ondata della Legge di Moore arriverà con package multi-chiplet, ha affermato Gelsinger, e arriverà prima se gli standard aperti riusciranno a ridurre le difficoltà legate all’integrazione delle proprietà intellettuali. Creato lo scorso anno, lo standard UCIe consentirà ai chiplet di diversi fornitori di lavorare insieme, abilitando nuovi progetti per l’espansione di diversi carichi di lavoro AI. Questa specifica aperta è sostenuta da più di 120 aziende. Il chip di test riunisce un chiplet Intel UCIe IP fabbricato su Intel 3 e un chiplet IP Synopsys UCIe fabbricato sul nodo di processo TSMC N3E. I chiplet sono collegati utilizzando la tecnologia di packaging avanzata EMIB (embedded multi-die interconnect bridge). La dimostrazione evidenzia l’impegno di TSMC, Synopsys e Intel Foundry Services nel supportare un ecosistema di chiplet basato su standard aperti con UCIe.

Gelsinger ha messo in luce la gamma di tecnologie AI oggi disponibili per gli sviluppatori sulle piattaforme Intel e come tale gamma aumenterà notevolmente nel corso del prossimo anno. Recenti risultati nelle prestazioni dell’inferenza AI MLPerf rafforzano ulteriormente l’impegno di Intel nell’affrontare ogni fase del continuum dell’intelligenza artificiale, inclusa l’intelligenza artificiale generativa e i modelli linguistici di grandi dimensioni. I risultati mostrano inoltre come l’acceleratore Intel Gaudi2 rappresenti l’unica alternativa praticabile sul mercato per le esigenze di calcolo dell’intelligenza artificiale. Gelsinger ha annunciato che un grande supercomputer AI sarà basato interamente su processori Intel Xeon e 4.000 acceleratori hardware Intel Gaudi2 AI, con Stability AI come utente principale. Zhou Jingren, chief technology officer di Alibaba Cloud, ha spiegato come Alibaba applica i processori Intel Xeon di quarta generazione con accelerazione IA integrata alla “nostra intelligenza artificiale generativa e modello linguistico di grandi dimensioni, i modelli Tongyi Foundation di Alibaba Cloud”. La tecnologia Intel, ha affermato, si traduce in “notevoli miglioramenti nei tempi di risposta, con un’accelerazione media di 3 volte”.

Intel ha inoltre presentato in anteprima la nuova generazione di processori Intel Xeon, rivelando che i processori di quinta generazione offriranno una combinazione di miglioramenti delle prestazioni e memoria più veloce, utilizzando la stessa quantità di energia, quando saranno lanciati il 14 dicembre. Grazie all’efficienza degli E-core, Sierra Forest, previsto per la prima metà del 2024, offrirà una densità di rack 2,5 volte superiore e prestazioni per Watt 2,4 volte più elevate rispetto allo Xeon di quarta generazione e includerà una versione con 288 core. Granite Rapids seguirà in tempi brevi il lancio di Sierra Forest e grazie ai P-core offrirà prestazioni dell’AI da 2 a 3 volte superiori rispetto agli Xeon2 di quarta generazione. Guardando al 2025, la nuova generazione E-core Xeon, nome in codice Clearwater Forest, arriverà sul nodo di processo Intel 18A.

“L’intelligenza artificiale trasformerà, rimodellerà e ristrutturerà radicalmente l’esperienza del PC, liberando la produttività e la creatività personale attraverso la potenza del cloud e del PC che lavorano insieme”, ha affermato Gelsinger. “Stiamo inaugurando una nuova era dell’intelligenza artificiale nei PC.” Questa nuova esperienza arriva con i prossimi processori Intel Core Ultra, nome in codice Meteor Lake, dotati della prima NPU (unità di elaborazione neurale) integrata di Intel per l’accelerazione di AI a basso consumo energetico e l’inferenza locale sul PC. Gelsinger ha confermato che anche Core Ultra sarà presentato il 14 dicembre. Core Ultra rappresenta un punto di svolta nella roadmap dei processori client di Intel: è infatti il primo chiplet client realizzato con tecnologia di packaging Foveros. Oltre alla NPU e agli importanti progressi nell’efficienza energetica, grazie alla tecnologia di processo Intel 4, il nuovo processore offre elevate prestazioni di grafica discreta grazie alla grafica Intel Arc integrata.

Gelsinger ha mostrato una serie di nuovi casi d’uso per i PC con intelligenza artificiale e Jerry Kao, COO di Acer, mostrato in anteprima un laptop Acer alimentato da Core Ultra. “Abbiamo co-sviluppato con i team Intel una suite di applicazioni Acer AI per sfruttare la piattaforma Intel Core Ultra”, ha affermato Kao, “utilizzando il toolkit OpenVINO e le librerie AI co-sviluppate per dare vita all’hardware”. “Gli sviluppi futuri dell’AI devono assicurare maggiore accesso, scalabilità, visibilità, trasparenza e fiducia all’intero ecosistema”, ha affermato Gelsinger.